Skip to main content

ACM Research Announces Receipt of Purchase Order from a Large U.S. Manufacturer

FREMONT, Calif., Nov. 07, 2023 (GLOBE NEWSWIRE) -- ACM Research, Inc. (“ACM”) (NASDAQ: ACMR), a leading supplier of wafer processing solutions for semiconductor and advanced wafer-level packaging applications, today announced the receipt of a purchase order for its Ultra C b backside clean and bevel etch tool from a major U.S. semiconductor manufacturer. The tool is expected to be shipped to the prospective customer’s U.S. facility in the second quarter of 2024.

“We believe this new order from a large U.S. manufacturer underscores the strength of ACM’s technology and marks another key milestone in our international market expansion,” said ACM’s President and Chief Executive Officer, Dr. David Wang, CEO. “The tool combines backside cleaning and bevel etcher function and is in addition to the ongoing evaluation by this customer of two SAPS cleaning tools, and we believe this demonstrates a deepening relationship which we hope will result in demand for additional ACM tools. Furthermore, we expect this order to enhance ACM’s brand and to position us to attract new opportunities with other major global customers.”

About the Ultra C b Backside Clean and Bevel Etch Tool

ACM’s Ultra C b backside cleaning portfolio supports 200mm and 300mm wafers, pivotal in IC wafer manufacturing, wafer-level packaging, and the power device sector. Utilizing a unique contactless Bernoulli wafer chuck, it ensures the wafer's front side remains undamaged, delivering nitrogen gas to shield the device side from any chemical exposure. The system stands out for its superior particle performance, etch uniformity, and customization options, satisfying stringent undercut specifications on wafer bevels while maintaining a pin mark-free environment.

The Ultra C b excels in throughput, capable of handling over 300 wafers per hour for certain applications, and offers versatility through its precise chemical processes for silicon etching or film removal. It accommodates a wide range of substrates, including heavily doped, bonded, and ultra-thin wafers, even those with high warpage. Additionally, the tool's adaptability is enhanced with an optional non-contact robot for specialized wafer handling, reaffirming ACM's commitment to innovation and tailored semiconductor manufacturing solutions.

ACM’s Ultra C bevel etch uses a wet etch method to remove various types of dielectric, metal and organic material films, as well as particulate contaminants on the wafer edge. Using wet etch also avoids the arcing and silicon damage risk from the dry process. The bevel etch process is performed in the front end before copper metallization and in the back end after copper metallization. ACM attains superior results with our single-wafer bevel etch system, which combines bevel and backside cleaning.

Forward-Looking Statements

Certain statements contained in this press release are not historical facts and may be forward-looking statements within the meaning of the Private Securities Litigation Reform Act of 1995. Words such as “plans,” “expects,” “believes,” “anticipates,” “designed,” and similar words are intended to identify forward-looking statements. Forward-looking statements are based on ACM management’s current expectations and beliefs, and involve a number of risks and uncertainties that are difficult to predict and that could cause actual results to differ materially from those stated or implied by the forward-looking statements. A description of certain of these risks, uncertainties and other matters can be found in filings ACM makes with the U.S. Securities and Exchange Commission, all of which are available at www.sec.gov. Because forward-looking statements involve risks and uncertainties, actual results and events may differ materially from results and events currently expected by ACM. Readers are cautioned not to place undue reliance on these forward-looking statements, which speak only as of the date hereof. ACM undertakes no obligation to publicly update these forward-looking statements to reflect events or circumstances that occur after the date hereof or to reflect any change in its expectations with regard to these forward-looking statements or the occurrence of unanticipated events.

About ACM Research, Inc.
ACM develops, manufactures, and sells semiconductor process equipment for single-wafer or batch wet cleaning, electroplating, stress-free polishing and thermal processes that are critical to advanced semiconductor device manufacturing, as well as wafer-level packaging. ACM is committed to delivering customized, high-performance, cost-effective process solutions that semiconductor manufacturers can use in numerous manufacturing steps to improve productivity and product yield. For more information, visit www.acmrcsh.com.

© ACM Research, Inc., SAPS and the ACM Research logo are trademarks of ACM Research, Inc. For convenience, these trademarks appear in this press release without a ™ symbol, but that practice does not mean ACM will not assert, to the fullest extent under applicable law, its rights to such trademarks.

Media Contact:Company Contacts:
Shannon BloodUSA
KiterocketRobert Metter
+1 208-216-9180+1 503.367.9753
sblood@kiterocket.com  
 China
 Xi Wang
 ACM Research (Shanghai), Inc.
  +86 21 50808868
  
 Korea
 YY Kim
 ACM Research (Korea), Inc.
 +821041415171
  
 Taiwan
 David Chang
 +886 921999884
  
 Singapore
 Adrian Ong
 +65 8813-1107

Primary Logo

Data & News supplied by www.cloudquote.io
Stock quotes supplied by Barchart
Quotes delayed at least 20 minutes.
By accessing this page, you agree to the following
Privacy Policy and Terms and Conditions.